Compiler Jobs in Santa Clara
We are seeking a Compiler Engineer to join our growing team. The Compiler Engineer will contribute to the design and implementation of an embedded machine learning (ML) system stack and TinyML applications to run on the world’s most energy-efficient...
10 years of custom circuit design experience from RTL-GDS for CPU and SoC applications
Prior experience and proven success of successfully designing high performance SRAM memories, Register file memories, SRAM compilers, data path designs...
- Solid grasp of foundational Computer Science concepts including data structures, algorithms, concurrency, and design principles
- Strong...
e.g., Computer Vision, DSP, DNNs, etc.
Strong background in graphs and related algorithms
Nice to haves:
Proficiency in C++ >= 11
Experience using / developing in TVM
Knowledge of front-end and back-end compiler techniques
Expected Outcomes in 12...
Experience with ML compilers such as TVM, XLA, Glow, GGML as well as compiler frameworks such as MLIR
- Experience with the internals of ML frameworks and runtimes such as PyTorch, TF, TFLite and TFLite Micro
- Experience with ML, work with edge light-weight...
constraints, and porting this setup to new technology nodes. Experience with either Synopsys Fusion Compiler or Design Compiler is required.
" This candidate should be comfortable with maintaining tool setup/automation scripts in TCL
" We're committed...
- Experience in one or more of the following areas is considered a strong plus:
- Experience with ML compilers such as TVM, XLA, Glow, GGML as well as compiler frameworks such as MLIR.
- Experience with the internals of ML...
to GDS flow.
Proficiency in Fusion Compiler, Cadence Innovus, and other relevant EDA tools.
Thorough understanding of macro placement, floorplanning, CTS, and routing techniques.
Experience with STA and timing closure methodologies.
Knowledge...
such as VCS, VCLP, Spyglass Lint, Questa CDC, Fusion Compiler, Design Compiler, Genus.
What We Are Looking For:
- BS or MS in Electrical Engineering (or equivalent)
- MUST HAVE design background in Arteris NoC (Network on Chip) RTL generation or based on any...
Experience in any number of related systems software projects e.g: Distributed systems, Operating systems, Linux Kernel, Database internals, Hypervisors, Containers, Compiler Optimization, etc.; - A BS degree in Computer Science; advanced degrees are a bonus...